US6531377B2 - Method for high aspect ratio gap fill using sequential HDP-CVD - Google Patents
- ️Tue Mar 11 2003
US6531377B2 - Method for high aspect ratio gap fill using sequential HDP-CVD - Google Patents
Method for high aspect ratio gap fill using sequential HDP-CVD Download PDFInfo
-
Publication number
- US6531377B2 US6531377B2 US09/904,799 US90479901A US6531377B2 US 6531377 B2 US6531377 B2 US 6531377B2 US 90479901 A US90479901 A US 90479901A US 6531377 B2 US6531377 B2 US 6531377B2 Authority
- US
- United States Prior art keywords
- insulating material
- depositing
- trenches
- isolation
- isolation trenches Prior art date
- 2001-07-13 Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Lifetime
Links
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/76—Making of isolation regions between components
- H01L21/762—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
- H01L21/76224—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
- H01L21/76229—Concurrent filling of a plurality of trenches having a different trench shape or dimension, e.g. rectangular and V-shaped trenches, wide and narrow trenches, shallow and deep trenches
Definitions
- the present invention relates generally to the fabrication of integrated circuits (IC's), and more particularly to the fabrication of memory IC's.
- DRAM dynamic random access memory
- a DRAM typically includes millions or billions of individual DRAM cells, with each cell storing one bit of data.
- a DRAM memory cell typically includes an access field effect transistor (FET) and a storage capacitor.
- FET access field effect transistor
- the access FET allows the transfer of data charges to and from the storage capacitor during reading and writing operations.
- the data charges on the storage capacitor are periodically refreshed during a refresh operation.
- FRAM ferroelectric random access memory
- An FRAM typically has a similar structure to a DRAM but is comprised of materials such that the storage capacitor does not need to be refreshed continuously as in a DRAM.
- Common applications for FRAM's include cellular phones and digital cameras, for example.
- Insulating materials for example, SiO 2 are used to isolate conductors and other active regions in semiconductor devices.
- BEOL back-end-of-line
- PECVD plasma enhanced chemical vapor deposition
- TEOS tetraethoxysilane
- An anisotropic etch was used, such as a physical sputter etch, to remove the insulating material overhangs that covered areas that needed to be filled, and another insulating layer was deposited, e.g., by PECVD.
- HDP-CVD high density plasma chemical vapor deposition CVD
- BEOL back-end-of-line
- FEOL front-end-of-line
- STI shallow trench isolation
- FIG. 1 illustrates a prior art semiconductor device 10 having isolation trenches 11 formed in a substrate 12 , the isolation trenches 11 having a relatively high aspect ratio.
- the aspect ratio refers to the ratio of the height (h) compared to the width (w) between the isolation trenches 11 , and is expressed as a ratio of h:w, e.g., 3:1 or 4:1.
- the semiconductor device 10 in this example comprises a DRAM device, where the trenches 11 comprise isolation trenches (IT's) that are adapted to electrically isolate element regions of a DRAM chip, for example.
- the element regions may comprise active areas, storage capacitors, transistors, and other electronic elements, as examples.
- the process of forming IT's is often also referred to in the art as shallow trench isolation (STI), for example.
- STI shallow trench isolation
- a pad nitride 14 may be deposited over the substrate 12 .
- An insulating layer 16 is deposited over the semiconductor wafer 10 using HPD-CVD to fill the trenches between the active areas, as shown. Because of the high aspect ratio h:w which may be 2:1 or greater, the HDP-CVD process may result in voids 20 that form within the trenches 11 , as shown. This occurs because an insulator 16 deposited by HDP-CVD has a tendency to form cusps or huts 18 at the vicinity of the top portion of the trenches 11 .
- a problem in prior art isolation techniques is the formation of these voids 20 in high-aspect ratio trenches. Aggressive aspect ratios in DRAM devices are approaching 4:1 and greater.
- the gap fill requirement is a function of ground rule layout and critical dimension (CD) tolerances, for example.
- Voids 20 may inadvertently be filled with conductive material in subsequent processing steps such as gate conductor deposition, for example, which may short elements in the substrate.
- What is needed in the art is a method of providing isolation and depositing insulating material between high aspect ratio trenches in today's densely-packed semiconductor devices.
- the present invention achieves technical advantages as a method of filling high aspect ratio gaps in semiconductor devices.
- a first anisotropic insulating layer is deposited and etched with an isotropic etch to remove the first insulating from the sides of the trenches. Additional anisotropic insulating layers are deposited as required for the particular aspect ratio of the trench in order to fill the trench completely without leaving voids within the trench insulating material.
- a method of filling gaps between features of a semiconductor wafer, the gaps having sidewalls comprising depositing a first anisotropic insulating material over the wafer to partially fill the gaps, removing the first anisotropic insulating material from at least the gap sidewalls, and depositing a second anisotropic insulating material over the wafer to at least partially fill the gaps.
- the method comprises depositing a first insulating material over the isolation trenches, removing a portion of the first insulating material from at least over the isolation trench sidewalls, and depositing a second insulating material over the trenches.
- Advantages of embodiments of the present invention include providing a method of filling high aspect ratio gaps in semiconductors such as vertical FETs.
- a silicon nitride liner may be deposited over the trenches prior to the first insulating layer deposition so that an etch selective to nitride may be used to remove the first insulating layer from the side of trenches in an isotropic etch.
- the top portion of the silicon nitride liner may be removed prior to the deposition of the top insulating layer, which prevents divot formation on the top surface that may occur during the pad nitride removal. Because only one type of insulating deposition tool is required, the invention does not add an inordinate amount of complexity to the manufacturing process.
- An inexpensive process such as a wet etch process may be used to remove the insulating layers from the isolation trench sidewalls.
- the active areas of semiconductor devices are scalable in accordance with the present invention; that is, the number of insulating layers may be varied or increased in accordance with the trench aspect ratio required.
- FIG. 1 illustrates a cross-sectional view of a prior art DRAM having voids in the HDP-CVD insulating material between isolation areas or trenches;
- FIGS. 2-7 illustrate cross-sectional views of an embodiment of the present invention in various stages of manufacturing
- FIGS. 8-10 illustrate an embodiment of the present invention in various manufacturing stages
- FIG. 11 shows a cross-sectional view of another embodiment of the present invention.
- isolation trenches are shown in each figure, although many other isolation trenches and other memory cell components may be present in the semiconductor devices shown.
- FIGS. 2-7 An embodiment of the present invention is shown in cross-section in FIGS. 2-7.
- the semiconductor device 100 may comprise a DRAM or other memory device, or may alternatively comprise other types of semiconductor devices, as examples.
- the substrate 112 may comprise silicon or other semiconductor materials, for example.
- the substrate 112 may include element regions comprising active areas (AA's), storage capacitors and other electronic elements that need to be isolated from one another in the end product. To provide this isolation, isolation trenches 111 are formed within the substrate 112 .
- a pad oxide 122 may be formed over the substrate 112 .
- Pad oxide 122 typically comprises approximately 30-100 Angstroms of silicon oxide, for example.
- a pad nitride 114 may be deposited over the pad oxide 122 .
- Pad nitride 114 may comprise silicon nitride or other nitrides, for example, and may be approximately 1000 Angstroms thick.
- Isolation trenches 111 are then formed using a lithography and etch process.
- Trenches 111 may be 400-800 nanometers deep within the silicon 112 , for example, and have aspect ratios of 2:1, 3:1, 4:1 or greater.
- a sidewall oxide 121 is formed within isolation trenches 111 over the substrate 112 .
- the sidewall oxide 121 also referred to as an active area oxide (AA ox), preferably comprises thermally grown silicon oxide and may be approximately between 50 and 150 Angstroms thick.
- an optional nitride liner 125 is deposited within isolation trenches 111 over the pad oxide 121 .
- Nitride liner 125 preferably comprises silicon nitride and may alternatively comprise other nitrides, for example.
- the nitride liner 125 preferably is approximately 30-100 Angstroms thick.
- a first insulating material 116 is deposited over the wafer 100 within the isolation trenches 111 , as shown in FIG. 3 .
- First insulating material 116 preferably comprises SiO 2 and alternatively may comprise silicon nitride, oxynitride, silicon carbide, compounds thereof, phosphorous silicon-doped glass (PSG), or boron PSG (BPSG), as examples.
- first insulating material 116 is deposited in an anisotropic deposition process, such as HDP-CVD, so that only a small amount of insulating material 116 is deposited on the sides of the isolation trenches 111 , shown generally at 124 .
- the first insulating material 116 is deposited over the substrate 112 topography such that the first insulating material 116 thickness within the bottom of the trenches 111 exceeds the first insulating material 116 thickness on the sidewalls of the trenches 111 .
- the first insulating material 116 is preferably partially deposited within trenches 111 in a thickness of approximately 300 nanometers in the trench 111 bottom, for example.
- first insulating material 116 comprises an oxide, and because a nitride liner 122 is used, an etch selective to nitride is preferably used.
- a wet etch comprising buffered HF or other HF-based chemistries, or a dry isotropic etch, e.g. chemical downstream etching (CDE) using fluorine-based chemistries, may be used to remove the first insulating material 116 from the isolation trench 111 sidewalls, for example, when the insulating material 116 comprises SiO 2 .
- a timed etch may be used. Approximately 5-50 nanometers of first insulating material 116 is preferably removed.
- a second insulating material 126 is deposited over the wafer 100 , as shown in FIG. 5 .
- the second insulating layer 126 comprises oxide deposited by HPD-CVD.
- Second insulating material 126 preferably comprises SiO 2 and alternatively may comprise silicon nitride, oxynitride, silicon carbide, compounds thereof, PSG, or BPSG, as examples.
- the second insulating material 126 is preferably deposited using an anisotropic deposition process in order to deposit more insulating material 126 over the bottom of the isolation trenches 111 over the first insulating layer 122 than is deposited on the sides of the isolation trenches 111 .
- the second insulating layer 126 may be 400 nanometers, for example.
- a second isotropic etch process is performed on the wafer 100 , as shown in FIG. 6, to remove the second insulating material 126 from at least the sides of the trenches 111 .
- an oxide is used for second insulating material 126
- an etch selective to nitride may be used to remove the second insulating material 126 from the IT 111 sidewalls.
- a timed etch may be used. Approximately 10-100 nanometers of second insulating layer 126 is removed in the second etch step, for example.
- the second insulating material 126 thickness may be sufficient to completely fill the isolation trenches 111 to the top of the pad nitride 114 layer or greater.
- a chemical-mechanical polish CMP may be performed (not shown) to remove the undesired insulating material 116 and 126 from the top of the pad nitride 114 , and subsequent processing steps may be performed on the wafer 100 to complete the manufacturing process.
- the aspect ratio of the isolation trenches 111 is high, e.g. 3:1, 4:1 or greater, a total of three or more additional insulating material layers preferably deposited by HPD-CVD, may be required to fill the isolation trenches 111 and removed from at least the trench 111 sides, in sequential steps.
- the additional insulating material layers preferably comprise SiO 2 and alternatively may comprise silicon nitride, oxynitride, silicon carbide, compounds thereof, PSG, or BPSG, as examples.
- the additional insulating material layers are preferably deposited using an anisotropic deposition process in order to deposit more insulating material over the bottom of the isolation trenches 111 than is deposited on the sides of the isolation trenches 111 .
- the insulating material is removed from at least the isolation trench 111 sides using an isotropic etch, except for the last insulating material deposition.
- FIG. 7 shows a cross-sectional view of the wafer 100 having a third insulating material 130 deposited over the wafer 100 within trenches 111 .
- the third insulating material 130 is deposited using an anisotropic deposition process such as HDP-CVD to completely fill the isolation trenches 111 .
- a CMP is performed (not shown) to remove the undesired insulating material layers 116 / 126 / 130 from the top of the pad nitride 114 , and subsequent processing steps are performed on the wafer 100 to complete the manufacturing process.
- FIGS. 8-10 illustrate another embodiment of the present invention, where before the final insulating material layer 230 is deposited within the isolation trenches 211 , the nitride liner 225 is removed from the top region 242 of the trenches 211 .
- the nitride liner 225 is etched back, as shown in FIG. 8 .
- the nitride liner 225 etch is selective to oxide, and may comprise hot phosphoric acid, for example.
- the final insulating material layer 230 is deposited over the wafer 200 to fill the isolation trenches 211 , as shown in FIG. 9 .
- nitride liner 225 When the wafer 200 is polished by CMP to remove the excess insulating material 216 / 226 / 230 and pad nitride 214 is stripped, for example, by hot phosphoric acid, from the top of the substrate 212 , having removed the nitride liner 225 from the top portion of the isolation trenches 211 is advantageous because the formation of divots 229 along the silicon/insulator interface is prevented. If nitride liner 229 (shown in phantom) is left remaining in the top portion of isolation trenches 211 , divots 231 (shown in phantom) may form because silicon nitride 229 is partially removed during the pad nitride 214 strip.
- divots 231 in the top surface of the wafer 200 is undesirable because divots 231 may fill in subsequent deposition steps, e.g., of polysilicon, and create shorts.
- the removal of the SiN liner 229 improves the reliability of specific PFET devices due to reduced hot carrier degradation of their respective gate oxides.
- FIG. 11 shows an embodiment of the present invention having sequential layers of insulating material 316 / 32 / 330 deposited by HPD-CVD within isolation trenches 311 , without the use of a nitride liner 125 / 225 within the trenches.
- a timed etch is used to avoid damaging the oxide liner 321 that lines the active areas within the trenches 311 , for example.
- FIG. 11 also illustrates the isolation trenches 311 having an aspect ratio such that two insulating layers 316 / 326 are sufficient to fill the isolation trenches 311 .
- two or more insulating layers may be required to completely fill the gaps.
- the present invention is described herein as a method of filling isolation trenches in a memory device. However, the present method may also be utilized to fill gaps and provide electrical isolation between topographical features in any semiconductor device. The invention is particularly advantageous when the gaps or trenches have high aspect ratios, e.g. 3:1 or greater (3:1, 4:1, 5:1, etc.).
- the present invention achieves technical advantages as a method of filling high aspect ratios isolation trenches 111 / 211 / 311 with sequentially deposited layers of insulating material 116 / 126 / 130 / 216 / 226 / 230 / 316 / 326 that does not leave voids or gaps within the insulating material.
- Advantages of embodiments of the invention include providing a void-free method of filling high aspect ratio gaps in semiconductors such as vertical DRAMs.
- a silicon nitride liner 125 / 225 may be deposited over the isolation trenches 111 / 211 prior to the insulating material 116 / 126 / 130 / 216 / 226 / 230 deposition so that an etch process selective to nitride may be used to remove the insulating material 116 / 126 / 216 / 226 from the side of isolation trenches 111 / 211 in an isotropic etch.
- the top portion of the nitride liner 125 / 225 may be removed prior to the deposition of the top insulating material layer 130 / 230 , which prevents divot formation on the top surface that may occur during the pad nitride 114 / 214 removal.
- the invention does not add much complexity to the manufacturing process because only one type of insulating material deposition tool is required, and a wet etch process may be used to remove the insulating material 116 / 126 / 216 / 226 / 316 from the sides of the isolation trenches 111 / 211 / 311 , which is an inexpensive process.
- the active areas of semiconductor devices are scalable in accordance with the present invention, that is, the number of insulating material layers 116 / 126 / 130 / 216 / 226 / 230 / 316 / 326 may be varied or increased in accordance with the isolation trench 111 / 211 / 311 aspect ratio required.
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Element Separation (AREA)
- Semiconductor Memories (AREA)
Abstract
A method of providing isolation between element regions of a semiconductor memory device (200). Isolation trenches (211) are filled using several sequential anisotropic insulating material (216/226/230) HPD-CVD deposition processes, with each deposition process being followed by an isotropic etch back to remove the insulating material (216/226/230) from the isolation trench (211) sidewalls. A nitride liner (225) may be deposited after isolation trench (211) formation. A top portion of the nitride liner (225) may be removed prior to the deposition of the top insulating material (230) layer.
Description
The present invention relates generally to the fabrication of integrated circuits (IC's), and more particularly to the fabrication of memory IC's.
BACKGROUND OF THE INVENTIONSemiconductor devices are used in a variety of electronic applications, such as personal computers and cellular phones, for example. One such semiconductor product widely used in electronic systems for storing data is a semiconductor memory, and one common type of semiconductor is a dynamic random access memory (DRAM).
A DRAM typically includes millions or billions of individual DRAM cells, with each cell storing one bit of data. A DRAM memory cell typically includes an access field effect transistor (FET) and a storage capacitor. The access FET allows the transfer of data charges to and from the storage capacitor during reading and writing operations. In addition, the data charges on the storage capacitor are periodically refreshed during a refresh operation.
Another memory semiconductor device is called a ferroelectric random access memory (FRAM). An FRAM typically has a similar structure to a DRAM but is comprised of materials such that the storage capacitor does not need to be refreshed continuously as in a DRAM. Common applications for FRAM's include cellular phones and digital cameras, for example.
The semiconductor industry in general is being driven to decrease the size of semiconductor devices located on integrated circuits. Miniaturization is generally needed to accommodate the increasing density of circuits necessary for today's semiconductor products. As memory devices such as DRAMs are scaled down in size, various aspects of manufacturing DRAM IC's are becoming more challenging. For example, extreme aspect ratios (the ratio of the vertical depth of a trench to the horizontal width) in small-scale devices present etch and deposition process challenges.
Insulating materials, for example, SiO2, are used to isolate conductors and other active regions in semiconductor devices. In the prior art, in back-end-of-line (BEOL) applications, e.g. for insulation for metal lines, a plasma enhanced chemical vapor deposition (PECVD) process based on a tetraethoxysilane (TEOS) source precursor was typically used for the deposition of insulating material, which resulted in an isotropic or conformal deposition profile. An anisotropic etch was used, such as a physical sputter etch, to remove the insulating material overhangs that covered areas that needed to be filled, and another insulating layer was deposited, e.g., by PECVD.
A technique used to deposit insulators that is being used more frequently in densely-packed semiconductor devices having small feature sizes is high density plasma (HDP) chemical vapor deposition CVD. HDP-CVD has been used in the BEOL in the past, and is also being used in the front-end-of-line (FEOL) for shallow trench isolation (STI). However, HDP-CVD is proving a challenge with today's rapidly increasing high aspect ratio features, which are approaching 4:1 and higher.
FIG. 1 illustrates a prior
art semiconductor device10 having
isolation trenches11 formed in a
substrate12, the
isolation trenches11 having a relatively high aspect ratio. The aspect ratio refers to the ratio of the height (h) compared to the width (w) between the
isolation trenches11, and is expressed as a ratio of h:w, e.g., 3:1 or 4:1.
The
semiconductor device10 in this example comprises a DRAM device, where the
trenches11 comprise isolation trenches (IT's) that are adapted to electrically isolate element regions of a DRAM chip, for example. The element regions may comprise active areas, storage capacitors, transistors, and other electronic elements, as examples. The process of forming IT's is often also referred to in the art as shallow trench isolation (STI), for example.
Prior to formation of the
isolation trenches11 within the
substrate12, a
pad nitride14 may be deposited over the
substrate12. An
insulating layer16 is deposited over the
semiconductor wafer10 using HPD-CVD to fill the trenches between the active areas, as shown. Because of the high aspect ratio h:w which may be 2:1 or greater, the HDP-CVD process may result in
voids20 that form within the
trenches11, as shown. This occurs because an
insulator16 deposited by HDP-CVD has a tendency to form cusps or
huts18 at the vicinity of the top portion of the
trenches11. This results in a greater thickness of the insulating
layer16 on the sidewall at the top of the
trenches11 compared to the sidewall deposition in the lower portion of the
trenches11. As a result, the top of the insulating
layer16 nearer the
huts18 closes, preventing the
void regions20 from being filled. The insulating
layer16 peaks ‘pinch’ the flow of insulating
material16 reactants into the
trenches11.
A problem in prior art isolation techniques is the formation of these
voids20 in high-aspect ratio trenches. Aggressive aspect ratios in DRAM devices are approaching 4:1 and greater. The gap fill requirement is a function of ground rule layout and critical dimension (CD) tolerances, for example.
As the minimum feature size is made smaller, the oxide gap fill of
isolation trenches11 becomes more challenging, especially in devices such as vertical DRAMs. Leaving
voids20 in a finished semiconductor device may result in
device10 failures.
Voids20 may inadvertently be filled with conductive material in subsequent processing steps such as gate conductor deposition, for example, which may short elements in the substrate.
What is needed in the art is a method of providing isolation and depositing insulating material between high aspect ratio trenches in today's densely-packed semiconductor devices.
SUMMARY OF THE INVENTIONThe present invention achieves technical advantages as a method of filling high aspect ratio gaps in semiconductor devices. A first anisotropic insulating layer is deposited and etched with an isotropic etch to remove the first insulating from the sides of the trenches. Additional anisotropic insulating layers are deposited as required for the particular aspect ratio of the trench in order to fill the trench completely without leaving voids within the trench insulating material.
Disclosed is a method of filling gaps between features of a semiconductor wafer, the gaps having sidewalls, the method comprising depositing a first anisotropic insulating material over the wafer to partially fill the gaps, removing the first anisotropic insulating material from at least the gap sidewalls, and depositing a second anisotropic insulating material over the wafer to at least partially fill the gaps.
Also disclosed is a method of isolating element regions of a semiconductor memory device, the memory device including a plurality of isolation trenches separating a plurality of element regions, and the isolation trenches including sidewalls. The method comprises depositing a first insulating material over the isolation trenches, removing a portion of the first insulating material from at least over the isolation trench sidewalls, and depositing a second insulating material over the trenches.
Advantages of embodiments of the present invention include providing a method of filling high aspect ratio gaps in semiconductors such as vertical FETs. A silicon nitride liner may be deposited over the trenches prior to the first insulating layer deposition so that an etch selective to nitride may be used to remove the first insulating layer from the side of trenches in an isotropic etch. The top portion of the silicon nitride liner may be removed prior to the deposition of the top insulating layer, which prevents divot formation on the top surface that may occur during the pad nitride removal. Because only one type of insulating deposition tool is required, the invention does not add an inordinate amount of complexity to the manufacturing process. An inexpensive process such as a wet etch process may be used to remove the insulating layers from the isolation trench sidewalls. The active areas of semiconductor devices are scalable in accordance with the present invention; that is, the number of insulating layers may be varied or increased in accordance with the trench aspect ratio required.
BRIEF DESCRIPTION OF THE DRAWINGSThe above features of the present invention will be more clearly understood from consideration of the following descriptions in connection with accompanying drawings in which:
FIG. 1 illustrates a cross-sectional view of a prior art DRAM having voids in the HDP-CVD insulating material between isolation areas or trenches;
FIGS. 2-7 illustrate cross-sectional views of an embodiment of the present invention in various stages of manufacturing;
FIGS. 8-10 illustrate an embodiment of the present invention in various manufacturing stages; and
FIG. 11 shows a cross-sectional view of another embodiment of the present invention.
Corresponding numerals and symbols in the different figures refer to corresponding parts unless otherwise indicated. The figures are drawn to clearly illustrate the relevant aspects of the preferred embodiments and are not necessarily drawn to scale.
DETAILED DESCRIPTION OF PREFERRED EMBODIMENTSA description of preferred embodiments of the present invention will be discussed, followed by a discussion of some advantages of the invention. Two isolation trenches are shown in each figure, although many other isolation trenches and other memory cell components may be present in the semiconductor devices shown.
An embodiment of the present invention is shown in cross-section in FIGS. 2-7. Referring first to FIG. 2, a
semiconductor wafer100 having a
substrate112 is provided. The
semiconductor device100 may comprise a DRAM or other memory device, or may alternatively comprise other types of semiconductor devices, as examples. The
substrate112 may comprise silicon or other semiconductor materials, for example. The
substrate112 may include element regions comprising active areas (AA's), storage capacitors and other electronic elements that need to be isolated from one another in the end product. To provide this isolation,
isolation trenches111 are formed within the
substrate112.
Prior to formation of
isolation trenches111, a
pad oxide122 may be formed over the
substrate112.
Pad oxide122 typically comprises approximately 30-100 Angstroms of silicon oxide, for example. A
pad nitride114 may be deposited over the
pad oxide122.
Pad nitride114 may comprise silicon nitride or other nitrides, for example, and may be approximately 1000 Angstroms thick.
111 are then formed using a lithography and etch process.
Trenches111 may be 400-800 nanometers deep within the
silicon112, for example, and have aspect ratios of 2:1, 3:1, 4:1 or greater. A
sidewall oxide121 is formed within
isolation trenches111 over the
substrate112. The
sidewall oxide121, also referred to as an active area oxide (AA ox), preferably comprises thermally grown silicon oxide and may be approximately between 50 and 150 Angstroms thick.
Preferably, an
optional nitride liner125 is deposited within
isolation trenches111 over the
pad oxide121.
Nitride liner125 preferably comprises silicon nitride and may alternatively comprise other nitrides, for example. The
nitride liner125 preferably is approximately 30-100 Angstroms thick. In accordance with the present invention, a first insulating
material116 is deposited over the
wafer100 within the
isolation trenches111, as shown in FIG. 3. First insulating
material116 preferably comprises SiO2 and alternatively may comprise silicon nitride, oxynitride, silicon carbide, compounds thereof, phosphorous silicon-doped glass (PSG), or boron PSG (BPSG), as examples. Preferably, first insulating
material116 is deposited in an anisotropic deposition process, such as HDP-CVD, so that only a small amount of insulating
material116 is deposited on the sides of the
isolation trenches111, shown generally at 124. In particular, the first insulating
material116 is deposited over the
substrate112 topography such that the first insulating
material116 thickness within the bottom of the
trenches111 exceeds the first insulating
material116 thickness on the sidewalls of the
trenches111. The first
insulating material116 is preferably partially deposited within
trenches111 in a thickness of approximately 300 nanometers in the
trench111 bottom, for example.
The
wafer100 is exposed to an isotropic etch to remove the first insulating
material116 from at least the sides of the
isolation trenches111, as shown in FIG. 4. Although a small portion of first insulating material may be removed by the isotropic etch from the top surfaces of the
wafer100 and top surface of the first insulating
material116 within the trench, a portion of the first insulating
material116 remains residing within the bottom of the
isolation trenches111 and over the top of the
pad nitride114. In one embodiment, first insulating
material116 comprises an oxide, and because a
nitride liner122 is used, an etch selective to nitride is preferably used. For example, a wet etch comprising buffered HF or other HF-based chemistries, or a dry isotropic etch, e.g. chemical downstream etching (CDE) using fluorine-based chemistries, may be used to remove the first insulating
material116 from the
isolation trench111 sidewalls, for example, when the insulating
material116 comprises SiO2. Alternatively, a timed etch may be used. Approximately 5-50 nanometers of first insulating
material116 is preferably removed.
A second insulating
material126 is deposited over the
wafer100, as shown in FIG. 5. Preferably, the second insulating
layer126 comprises oxide deposited by HPD-CVD. Second insulating
material126 preferably comprises SiO2 and alternatively may comprise silicon nitride, oxynitride, silicon carbide, compounds thereof, PSG, or BPSG, as examples. The second
insulating material126 is preferably deposited using an anisotropic deposition process in order to deposit more insulating
material126 over the bottom of the
isolation trenches111 over the first insulating
layer122 than is deposited on the sides of the
isolation trenches111. The second
insulating layer126 may be 400 nanometers, for example.
A second isotropic etch process is performed on the
wafer100, as shown in FIG. 6, to remove the second insulating
material126 from at least the sides of the
trenches111. Again, if an oxide is used for second
insulating material126, because a
nitride liner122 is used, an etch selective to nitride may be used to remove the second insulating
material126 from the
IT111 sidewalls. Alternatively, a timed etch may be used. Approximately 10-100 nanometers of second insulating
layer126 is removed in the second etch step, for example.
If the aspect ratio of the
trenches111 is small enough, the second insulating
material126 thickness may be sufficient to completely fill the
isolation trenches111 to the top of the
pad nitride114 layer or greater. In this case, a chemical-mechanical polish (CMP) may be performed (not shown) to remove the undesired insulating
material116 and 126 from the top of the
pad nitride114, and subsequent processing steps may be performed on the
wafer100 to complete the manufacturing process.
However, if the aspect ratio of the
isolation trenches111 is high, e.g. 3:1, 4:1 or greater, a total of three or more additional insulating material layers preferably deposited by HPD-CVD, may be required to fill the
isolation trenches111 and removed from at least the
trench111 sides, in sequential steps. The additional insulating material layers preferably comprise SiO2 and alternatively may comprise silicon nitride, oxynitride, silicon carbide, compounds thereof, PSG, or BPSG, as examples. Like the first 116 and second insulating
material126, the additional insulating material layers are preferably deposited using an anisotropic deposition process in order to deposit more insulating material over the bottom of the
isolation trenches111 than is deposited on the sides of the
isolation trenches111. After each insulating material deposition, the insulating material is removed from at least the
isolation trench111 sides using an isotropic etch, except for the last insulating material deposition.
FIG. 7 shows a cross-sectional view of the
wafer100 having a third
insulating material130 deposited over the
wafer100 within
trenches111. Preferably, the third insulating
material130 is deposited using an anisotropic deposition process such as HDP-CVD to completely fill the
isolation trenches111. A CMP is performed (not shown) to remove the undesired insulating material layers 116/126/130 from the top of the
pad nitride114, and subsequent processing steps are performed on the
wafer100 to complete the manufacturing process.
Because the sequence of insulating
material layer116/126/130 HDP-CVD deposition in the
trench111 and the etch processes to remove the insulating
material116/126/130 from the
isolation trench111 sidewalls results in complete gapfill, there is no possibility of conductive or contaminating materials such as from gate poly-Si or CMP slurry becoming lodged within the
isolation trenches111, creating shorts or defects.
FIGS. 8-10 illustrate another embodiment of the present invention, where before the final insulating
material layer230 is deposited within the
isolation trenches211, the
nitride liner225 is removed from the top region 242 of the
trenches211. In an optional step before the final insulating
material layer230 or 226 is deposited, the
nitride liner225 is etched back, as shown in FIG. 8. Preferably, the
nitride liner225 etch is selective to oxide, and may comprise hot phosphoric acid, for example. The final
insulating material layer230 is deposited over the
wafer200 to fill the
isolation trenches211, as shown in FIG. 9.
When the
wafer200 is polished by CMP to remove the excess insulating
material216/226/230 and
pad nitride214 is stripped, for example, by hot phosphoric acid, from the top of the
substrate212, having removed the
nitride liner225 from the top portion of the
isolation trenches211 is advantageous because the formation of
divots229 along the silicon/insulator interface is prevented. If nitride liner 229 (shown in phantom) is left remaining in the top portion of
isolation trenches211, divots 231 (shown in phantom) may form because
silicon nitride229 is partially removed during the
pad nitride214 strip. The formation of
divots231 in the top surface of the
wafer200 is undesirable because
divots231 may fill in subsequent deposition steps, e.g., of polysilicon, and create shorts. In addition, the removal of the
SiN liner229 improves the reliability of specific PFET devices due to reduced hot carrier degradation of their respective gate oxides.
FIG. 11 shows an embodiment of the present invention having sequential layers of insulating
material316/32/330 deposited by HPD-CVD within
isolation trenches311, without the use of a
nitride liner125/225 within the trenches. In this embodiment, rather than using an isotropic etch that is selective to nitride, a timed etch is used to avoid damaging the
oxide liner321 that lines the active areas within the
trenches311, for example. FIG. 11 also illustrates the
isolation trenches311 having an aspect ratio such that two insulating
layers316/326 are sufficient to fill the
isolation trenches311. However, in high aspect
ratio isolation trenches311, two or more insulating layers may be required to completely fill the gaps.
The present invention is described herein as a method of filling isolation trenches in a memory device. However, the present method may also be utilized to fill gaps and provide electrical isolation between topographical features in any semiconductor device. The invention is particularly advantageous when the gaps or trenches have high aspect ratios, e.g. 3:1 or greater (3:1, 4:1, 5:1, etc.).
The present invention achieves technical advantages as a method of filling high aspect
ratios isolation trenches111/211/311 with sequentially deposited layers of insulating
material116/126/130/216/226/230/316/326 that does not leave voids or gaps within the insulating material. Advantages of embodiments of the invention include providing a void-free method of filling high aspect ratio gaps in semiconductors such as vertical DRAMs. A
silicon nitride liner125/225 may be deposited over the
isolation trenches111/211 prior to the insulating
material116/126/130/216/226/230 deposition so that an etch process selective to nitride may be used to remove the insulating
material116/126/216/226 from the side of
isolation trenches111/211 in an isotropic etch. The top portion of the
nitride liner125/225 may be removed prior to the deposition of the top insulating
material layer130/230, which prevents divot formation on the top surface that may occur during the
pad nitride114/214 removal. The invention does not add much complexity to the manufacturing process because only one type of insulating material deposition tool is required, and a wet etch process may be used to remove the insulating
material116/126/216/226/316 from the sides of the
isolation trenches111/211/311, which is an inexpensive process. The active areas of semiconductor devices are scalable in accordance with the present invention, that is, the number of insulating material layers 116/126/130/216/226/230/316/326 may be varied or increased in accordance with the
isolation trench111/211/311 aspect ratio required.
While the invention has been described with reference to illustrative embodiments, this description is not intended to be construed in a limiting sense. Various modifications in combinations of the illustrative embodiments, as well as other embodiments of the invention, will be apparent to persons skilled in the art upon reference to the description. For example, while the invention is described herein with reference to a DRAM, it also has useful application in FRAM and other memory and various other types of semiconductor devices. In addition, the order of process steps may be rearranged by one of ordinary skill in the art, yet still be within the scope of the present invention. It is therefore intended that the appended claims encompass any such modifications or embodiments. Moreover, the scope of the present application is not intended to be limited to the particular embodiments of the process, machine, manufacture, composition of matter, means, methods and steps described in the specification. Accordingly, the appended claims are intended to include within their scope such processes, machines, manufacture, compositions of matter, means, methods, or steps.
Claims (23)
1. A method of filling gaps between features of a semiconductor wafer, the gaps having sidewalls, the method comprising:
depositing a first anisotropic insulating material over the wafer to partially fill the gaps;
removing the first anisotropic insulating material from at least the gap sidewalls using an isotropic etch process; and
depositing a second anisotropic insulating material over the wafer to at least partially fill the gaps.
2. The method according to
claim 1further comprising:
removing the second insulating material from at least the gap sidewalls; and
depositing a third anisotropic insulating material over the wafer to at least partially fill the gaps.
3. The method according to
claim 2further comprising:
removing the third anisotropic insulating material from at least the gap sidewalls; and
depositing a fourth insulating material over the wafer to at least partially fill the gaps.
4. The method according to
claim 1wherein depositing a first and second insulating material comprise a HDP-CVD process.
5. The method according to
claim 4wherein the first and second insulating materials comprise SiO2, silicon nitride, oxynitride, silicon carbide, compounds thereof, phosphorous silicon-doped glass (PSG), or boron PSG (BPSG).
6. The method according to
claim 1wherein the gaps comprise isolation trenches between element regions of a memory device.
7. The method according to
claim 6, further comprising depositing a pad nitride over the semiconductor wafer prior to forming the isolation trenches.
8. The method according to
claim 7further comprising depositing a nitride liner within the trenches, before depositing a first insulating material.
9. The method according to
claim 8, further comprising removing the nitride liner from a top region of the trenches after depositing the first insulating material.
10. The method according to
claim 1, wherein the isotropic etch process is selected from the group consisting of a wet etch, dry etch, and timed etch.
11. A method of isolating element regions of a semiconductor memory device, the memory device including a plurality of isolation trenches separating a plurality of element regions, the isolation trenches including sidewalls, the method comprising:
depositing a first insulating material over the isolation trenches;
removing a portion of the first insulating material from at least over the isolation trench sidewalls using an isotropic process; and
depositing a second insulating material over the trenches.
12. The method according to
claim 11wherein depositing a first and second insulating material comprise an anisotropic process.
13. The method according to
claim 12wherein depositing a first and second insulating material comprise a HDP-CVD process.
14. The method according to
claim 13further comprising:
depositing a nitride liner within the isolation trenches, before depositing a first insulating material; and
removing the nitride liner from a top region of the trenches after removing the first insulating material from the isolation trench sidewalls.
15. The method according to
claim 11wherein the isolation trenches have aspect ratios of 3:1 or greater.
16. The method according to
claim 11further comprising:
removing the second insulating material from at least the isolation trench sidewalls; and
depositing a third insulating material over the wafer to at least partially fill the isolation trenches.
17. The method according to
claim 16further comprising:
depositing a nitride liner within the isolation trenches, before depositing a first insulating material; and
removing the nitride liner from a top region of the trenches after removing the second insulating material from the isolation trench sidewalls.
18. The method according to
claim 16, wherein depositing a first, second and third insulating material comprise an anisotropic HDP-CVD process, wherein removing the second insulating material comprises an isotropic etch process.
19. The method according to
claim 16further comprising:
removing the third insulating material from at least the isolation trench sidewalls; and
depositing a fourth insulating material over the wafer to at least partially fill the isolation trenches.
20. The method according to
claim 19further comprising:
depositing a nitride liner within the isolation trenches, before depositing a first insulating material; and
removing the nitride liner from a top region of the trenches after removing the third insulating material from the isolation trench sidewalls.
21. The method according to
claim 19, wherein depositing a first, second, third and fourth insulating material comprise an anisotropic HDP-CVD process, wherein removing the second and third insulating materials comprise an isotropic etch process.
22. The method according to
claim 11, further comprising depositing a pad nitride over the semiconductor wafer prior to forming the isolation trenches.
23. The method according to
claim 11, wherein the isotropic etch process is selected from the group consisting of a wet etch, dry etch, and timed etch.
Priority Applications (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US09/904,799 US6531377B2 (en) | 2001-07-13 | 2001-07-13 | Method for high aspect ratio gap fill using sequential HDP-CVD |
DE10228691A DE10228691A1 (en) | 2001-07-13 | 2002-06-27 | Gap filling procedure by sequential HDP-CVD with high aspect ratio |
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US09/904,799 US6531377B2 (en) | 2001-07-13 | 2001-07-13 | Method for high aspect ratio gap fill using sequential HDP-CVD |
Publications (2)
Publication Number | Publication Date |
---|---|
US20030013271A1 US20030013271A1 (en) | 2003-01-16 |
US6531377B2 true US6531377B2 (en) | 2003-03-11 |
Family
ID=25419804
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US09/904,799 Expired - Lifetime US6531377B2 (en) | 2001-07-13 | 2001-07-13 | Method for high aspect ratio gap fill using sequential HDP-CVD |
Country Status (2)
Country | Link |
---|---|
US (1) | US6531377B2 (en) |
DE (1) | DE10228691A1 (en) |
Cited By (138)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20030052384A1 (en) * | 2001-09-20 | 2003-03-20 | Kabushiki Kaisha Toshiba | Manufacturing method of semiconductor device with filling insulating film into trench |
US20030199151A1 (en) * | 2002-04-18 | 2003-10-23 | Nanya Technology Corporation | Method of fabricating a shallow trench isolation structure |
US20040058507A1 (en) * | 2002-09-25 | 2004-03-25 | Nanya Technology Corporation | Manufacturing method for a shallow trench isolation region with high aspect ratio |
US20040058549A1 (en) * | 2002-09-23 | 2004-03-25 | Nanya Technology Corporation | Method for forming shallow trench isolation |
US20040072408A1 (en) * | 2002-10-10 | 2004-04-15 | Yun Jae-Sun | Methods of forming trench isolated integrated circuit devices including grooves, and trench isolated integrated circuit devices so formed |
US20040144749A1 (en) * | 2002-12-11 | 2004-07-29 | Hong-Gun Kim | Methods of filling gaps by deposition on materials having different deposition rates |
US6867086B1 (en) | 2003-03-13 | 2005-03-15 | Novellus Systems, Inc. | Multi-step deposition and etch back gap fill process |
US6872633B2 (en) * | 2002-05-31 | 2005-03-29 | Chartered Semiconductor Manufacturing Ltd. | Deposition and sputter etch approach to extend the gap fill capability of HDP CVD process to ≦0.10 microns |
US20050095872A1 (en) * | 2003-10-31 | 2005-05-05 | International Business Machines Corporation | Hdp process for high aspect ratio gap filling |
US20050194646A1 (en) * | 2004-03-04 | 2005-09-08 | Fujitsu Limited | Semiconductor device with shallow trench isolation and its manufacture method |
US20050242403A1 (en) * | 2004-04-30 | 2005-11-03 | Choh-Fei Yeap | Isolation trench |
US20050255704A1 (en) * | 2004-05-11 | 2005-11-17 | Chai-Tak Teh | Stacked dielectric layer suppressing electrostatic charge buildup and method of fabricating the same |
US20050277263A1 (en) * | 2004-06-11 | 2005-12-15 | International Business Machines Corporation | Forming Shallow Trench Isolation Without the Use of CMP |
US7067440B1 (en) | 2001-08-24 | 2006-06-27 | Novellus Systems, Inc. | Gap fill for high aspect ratio structures |
US20060151855A1 (en) * | 2004-11-25 | 2006-07-13 | Masahiro Kiyotoshi | Semiconductor device and method of manufacturing the same |
US7078312B1 (en) * | 2003-09-02 | 2006-07-18 | Novellus Systems, Inc. | Method for controlling etch process repeatability |
US7097878B1 (en) | 2004-06-22 | 2006-08-29 | Novellus Systems, Inc. | Mixed alkoxy precursors and methods of their use for rapid vapor deposition of SiO2 films |
US7109129B1 (en) | 2005-03-09 | 2006-09-19 | Novellus Systems, Inc. | Optimal operation of conformal silica deposition reactors |
US7122485B1 (en) | 2002-12-09 | 2006-10-17 | Novellus Systems, Inc. | Deposition profile modification through process chemistry |
US7129189B1 (en) | 2004-06-22 | 2006-10-31 | Novellus Systems, Inc. | Aluminum phosphate incorporation in silica thin films produced by rapid surface catalyzed vapor deposition (RVD) |
US7135418B1 (en) | 2005-03-09 | 2006-11-14 | Novellus Systems, Inc. | Optimal operation of conformal silica deposition reactors |
US7148155B1 (en) | 2004-10-26 | 2006-12-12 | Novellus Systems, Inc. | Sequential deposition/anneal film densification method |
US20060278952A1 (en) * | 2005-06-14 | 2006-12-14 | Fujitsu Limited | Semiconductor device and fabrication process thereof |
US7163896B1 (en) | 2003-12-10 | 2007-01-16 | Novellus Systems, Inc. | Biased H2 etch process in deposition-etch-deposition gap fill |
US20070014801A1 (en) * | 2001-01-24 | 2007-01-18 | Gish Kurt C | Methods of diagnosis of prostate cancer, compositions and methods of screening for modulators of prostate cancer |
US7176039B1 (en) | 2004-09-21 | 2007-02-13 | Novellus Systems, Inc. | Dynamic modification of gap fill process characteristics |
US20070059898A1 (en) * | 2005-09-09 | 2007-03-15 | Dong-Suk Shin | Semiconductor devices including trench isolation structures and methods of forming the same |
US7202185B1 (en) | 2004-06-22 | 2007-04-10 | Novellus Systems, Inc. | Silica thin films produced by rapid surface catalyzed vapor deposition (RVD) using a nucleation layer |
US7211525B1 (en) | 2005-03-16 | 2007-05-01 | Novellus Systems, Inc. | Hydrogen treatment enhanced gap fill |
US7217658B1 (en) | 2004-09-07 | 2007-05-15 | Novellus Systems, Inc. | Process modulation to prevent structure erosion during gap fill |
US7223707B1 (en) | 2004-12-30 | 2007-05-29 | Novellus Systems, Inc. | Dynamic rapid vapor deposition process for conformal silica laminates |
US7271112B1 (en) | 2004-12-30 | 2007-09-18 | Novellus Systems, Inc. | Methods for forming high density, conformal, silica nanolaminate films via pulsed deposition layer in structures of confined geometry |
US20070249127A1 (en) * | 2006-04-24 | 2007-10-25 | Freescale Semiconductor, Inc. | Electronic device including a semiconductor layer and a sidewall spacer and a process of forming the same |
US20070249160A1 (en) * | 2006-04-24 | 2007-10-25 | Freescale Semiconductor, Inc. | Process of forming an electronic device including a layer formed using an inductively coupled plasma |
US7288463B1 (en) | 2006-04-28 | 2007-10-30 | Novellus Systems, Inc. | Pulsed deposition layer gap fill with expansion material |
US7294583B1 (en) | 2004-12-23 | 2007-11-13 | Novellus Systems, Inc. | Methods for the use of alkoxysilanol precursors for vapor deposition of SiO2 films |
US20070264794A1 (en) * | 2006-05-11 | 2007-11-15 | Micron Technology, Inc. | Methods of forming trench isolation and methods of forming arrays of FLASH memory cells |
US7297608B1 (en) | 2004-06-22 | 2007-11-20 | Novellus Systems, Inc. | Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition |
US20070293045A1 (en) * | 2006-06-16 | 2007-12-20 | Samsung Electronics Co., Ltd | Semiconductor device and method for fabricating the same |
US20080020534A1 (en) * | 2006-07-20 | 2008-01-24 | Marcus Culmsee | Semiconductor device fabrication methods |
US7344996B1 (en) | 2005-06-22 | 2008-03-18 | Novellus Systems, Inc. | Helium-based etch process in deposition-etch-deposition gap fill |
US7381451B1 (en) | 2004-11-17 | 2008-06-03 | Novellus Systems, Inc. | Strain engineering—HDP thin film with tensile stress for FEOL and other applications |
US20080132016A1 (en) * | 2006-12-04 | 2008-06-05 | Hynix Semiconductor Inc. | Method of manufacturing a flash memory device |
US7393738B1 (en) | 2007-01-16 | 2008-07-01 | International Business Machines Corporation | Subground rule STI fill for hot structure |
US20080160721A1 (en) * | 2006-12-29 | 2008-07-03 | Hynix Semiconductor Inc. | Method For Fabricating Isolation Film In Semiconductor Device |
US20080242045A1 (en) * | 2007-03-27 | 2008-10-02 | Hynix Semiconductor Inc. | Method for fabricating trench dielectric layer in semiconductor device |
US20080315346A1 (en) * | 2004-01-31 | 2008-12-25 | Ralf Lerner | Passivation of Deep Isolating Separating Trenches with Sunk Covering Layers |
US7476621B1 (en) | 2003-12-10 | 2009-01-13 | Novellus Systems, Inc. | Halogen-free noble gas assisted H2 plasma etch process in deposition-etch-deposition gap fill |
US7482247B1 (en) | 2004-12-30 | 2009-01-27 | Novellus Systems, Inc. | Conformal nanolaminate dielectric deposition and etch bag gap fill process |
US7482245B1 (en) | 2006-06-20 | 2009-01-27 | Novellus Systems, Inc. | Stress profile modulation in STI gap fill |
US7491653B1 (en) | 2005-12-23 | 2009-02-17 | Novellus Systems, Inc. | Metal-free catalysts for pulsed deposition layer process for conformal silica laminates |
US7528078B2 (en) | 2006-05-12 | 2009-05-05 | Freescale Semiconductor, Inc. | Process of forming electronic device including a densified nitride layer adjacent to an opening within a semiconductor layer |
US7589028B1 (en) | 2005-11-15 | 2009-09-15 | Novellus Systems, Inc. | Hydroxyl bond removal and film densification method for oxide films using microwave post treatment |
US20090286381A1 (en) * | 2008-05-16 | 2009-11-19 | Novellus Systems Inc. | Protective Layer To Enable Damage Free Gap Fill |
US7625820B1 (en) | 2006-06-21 | 2009-12-01 | Novellus Systems, Inc. | Method of selective coverage of high aspect ratio structures with a conformal film |
US7670895B2 (en) | 2006-04-24 | 2010-03-02 | Freescale Semiconductor, Inc | Process of forming an electronic device including a semiconductor layer and another layer adjacent to an opening within the semiconductor layer |
US20100099236A1 (en) * | 2008-10-16 | 2010-04-22 | Applied Materials, Inc. | Gapfill improvement with low etch rate dielectric liners |
US20100098884A1 (en) * | 2008-10-16 | 2010-04-22 | Applied Materials, Inc. | Boron film interface engineering |
US20100148300A1 (en) * | 2006-03-14 | 2010-06-17 | Xianfeng Zhou | Isolation trench fill using oxide liner and nitride etch back technique with dual trench depth capability |
US7790633B1 (en) | 2004-10-26 | 2010-09-07 | Novellus Systems, Inc. | Sequential deposition/anneal film densification method |
US20100233633A1 (en) * | 2007-06-19 | 2010-09-16 | Applied Materials, Inc. | Engineering boron-rich films for lithographic mask applications |
US20110183492A1 (en) * | 2007-07-09 | 2011-07-28 | Hanson Robert J | Methods of forming oxides, methods of forming semiconductor constructions, and methods of forming isolation regions |
US20110193199A1 (en) * | 2010-02-09 | 2011-08-11 | International Business Machines Corporation | Electromigration immune through-substrate vias |
US8679982B2 (en) | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
US8679983B2 (en) | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
US8741778B2 (en) | 2010-12-14 | 2014-06-03 | Applied Materials, Inc. | Uniform dry etch in two stages |
US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US8975152B2 (en) | 2011-11-08 | 2015-03-10 | Applied Materials, Inc. | Methods of reducing substrate dislocation during gapfill processing |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9018108B2 (en) | 2013-01-25 | 2015-04-28 | Applied Materials, Inc. | Low shrinkage dielectric films |
US9023732B2 (en) | 2013-03-15 | 2015-05-05 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US20150137309A1 (en) * | 2006-03-01 | 2015-05-21 | Infineon Technologies Ag | Methods of Fabricating Isolation Regions of Semiconductor Devices and Structures Thereof |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US9105691B2 (en) | 2013-04-09 | 2015-08-11 | International Business Machines Corporation | Contact isolation scheme for thin buried oxide substrate devices |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9214378B2 (en) | 2012-06-29 | 2015-12-15 | International Business Machines Corporation | Undercut insulating regions for silicon-on-insulator device |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299582B2 (en) | 2013-11-12 | 2016-03-29 | Applied Materials, Inc. | Selective etch for metal-containing materials |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
TWI571967B (en) * | 2015-09-09 | 2017-02-21 | 華亞科技股份有限公司 | Memory element and method of manufacturing same |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9755073B1 (en) | 2016-05-11 | 2017-09-05 | International Business Machines Corporation | Fabrication of vertical field effect transistor structure with strained channels |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US20190221476A1 (en) * | 2018-01-10 | 2019-07-18 | Db Hitek Co., Ltd. | Element isolation layer structure and method of manufacturing the same |
US10541309B2 (en) | 2017-12-25 | 2020-01-21 | United Microelectronics Corp | Semiconductor structure and method for fabricating the same |
US10916694B2 (en) | 2018-12-27 | 2021-02-09 | United Microelectronics Corp. | Magnetoresistive random access memory (MRAM) device |
WO2022062591A1 (en) * | 2020-09-28 | 2022-03-31 | 长鑫存储技术有限公司 | Semiconductor structure preparation process and semiconductor structure |
US11843029B2 (en) | 2020-09-28 | 2023-12-12 | Changxin Memory Technologies, Inc. | Semiconductor structure and manufacturing method thereof |
US12009228B2 (en) | 2015-02-03 | 2024-06-11 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
Families Citing this family (23)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6821865B2 (en) * | 2002-12-30 | 2004-11-23 | Infineon Technologies Ag | Deep isolation trenches |
DE10311312B4 (en) * | 2003-03-14 | 2007-08-16 | Infineon Technologies Ag | An insulator structure and method for producing insulator structures in a semiconductor substrate |
DE10348021A1 (en) * | 2003-10-15 | 2005-05-25 | Infineon Technologies Ag | A method of manufacturing a semiconductor structure with encapsulation of a filling used to fill trenches |
DE10350689B4 (en) * | 2003-10-30 | 2007-06-21 | Infineon Technologies Ag | Method for producing insulator structures in a semiconductor substrate |
DE10361697B4 (en) * | 2003-12-30 | 2011-08-11 | Infineon Technologies AG, 81669 | Method for producing an oxidation-lining trench structure, for producing a semiconductor integrated circuit arrangement or a chip, for producing a semiconductor component, and semiconductor integrated circuit device produced by this method, manufactured chip, semiconductor component produced |
US7332408B2 (en) | 2004-06-28 | 2008-02-19 | Micron Technology, Inc. | Isolation trenches for memory devices |
KR100624327B1 (en) * | 2004-12-30 | 2006-09-19 | 동부일렉트로닉스 주식회사 | STI Formation Method of Semiconductor Device |
JP4417882B2 (en) * | 2005-05-27 | 2010-02-17 | 株式会社東芝 | Manufacturing method of semiconductor device |
US7811935B2 (en) * | 2006-03-07 | 2010-10-12 | Micron Technology, Inc. | Isolation regions and their formation |
KR100772275B1 (en) * | 2006-05-24 | 2007-11-01 | 동부일렉트로닉스 주식회사 | Semiconductor device and manufacturing method thereof |
KR100912986B1 (en) | 2006-12-28 | 2009-08-20 | 주식회사 하이닉스반도체 | Device Separator Formation Method of Semiconductor Device |
KR101072722B1 (en) * | 2007-02-15 | 2011-10-11 | 주식회사 하이닉스반도체 | Method of forming an isolation in semiconductor device |
KR100818714B1 (en) * | 2007-04-10 | 2008-04-02 | 주식회사 하이닉스반도체 | Device Separating Method of Semiconductor Device |
KR100946116B1 (en) * | 2007-06-27 | 2010-03-10 | 주식회사 하이닉스반도체 | Device Separating Method of Flash Memory Device |
KR100880342B1 (en) * | 2007-06-27 | 2009-01-28 | 주식회사 하이닉스반도체 | Device Separator Formation Method of Semiconductor Device |
KR100899393B1 (en) * | 2007-09-07 | 2009-05-27 | 주식회사 하이닉스반도체 | Device Separating Method of Semiconductor Device |
US20090127648A1 (en) * | 2007-11-15 | 2009-05-21 | Neng-Kuo Chen | Hybrid Gap-fill Approach for STI Formation |
US20090184402A1 (en) * | 2008-01-22 | 2009-07-23 | United Microelectronics Corp. | Method of fabricating a shallow trench isolation structure including forming a second liner covering the corner of the trench and first liner. |
US8319311B2 (en) * | 2009-03-16 | 2012-11-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Hybrid STI gap-filling approach |
US9953885B2 (en) * | 2009-10-27 | 2018-04-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | STI shape near fin bottom of Si fin in bulk FinFET |
KR101163909B1 (en) | 2009-10-27 | 2012-07-09 | 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 | Sti shape near fin bottom of si fin in bulk finfet |
CN102867775B (en) * | 2011-07-08 | 2014-12-10 | 上海华虹宏力半导体制造有限公司 | Filling method of deep groove |
US12094947B2 (en) * | 2021-07-23 | 2024-09-17 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor structure and method of manufacturing the same |
Citations (13)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5930107A (en) * | 1995-10-19 | 1999-07-27 | International Business Machines Corporation | Dual trench capacitor |
US6191004B1 (en) * | 1998-12-11 | 2001-02-20 | United Semiconductor Corp. | Method of fabricating shallow trench isolation using high density plasma CVD |
US6236079B1 (en) * | 1997-12-02 | 2001-05-22 | Kabushiki Kaisha Toshiba | Dynamic semiconductor memory device having a trench capacitor |
US6294423B1 (en) * | 2000-11-21 | 2001-09-25 | Infineon Technologies North America Corp. | Method for forming and filling isolation trenches |
US6300219B1 (en) * | 1999-08-30 | 2001-10-09 | Micron Technology, Inc. | Method of forming trench isolation regions |
US6319796B1 (en) * | 1999-08-18 | 2001-11-20 | Vlsi Technology, Inc. | Manufacture of an integrated circuit isolation structure |
US6335261B1 (en) * | 2000-05-31 | 2002-01-01 | International Business Machines Corporation | Directional CVD process with optimized etchback |
US6335288B1 (en) * | 2000-08-24 | 2002-01-01 | Applied Materials, Inc. | Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD |
US6335239B1 (en) * | 1998-12-04 | 2002-01-01 | International Business Machines Corporation | Manufacturing a DRAM cell having an annular signal transfer region |
US6339241B1 (en) * | 2000-06-23 | 2002-01-15 | International Business Machines Corporation | Structure and process for 6F2 trench capacitor DRAM cell with vertical MOSFET and 3F bitline pitch |
US6346457B1 (en) * | 1999-09-28 | 2002-02-12 | Sharp Kabushiki Kaisha | Process for manufacturing semiconductor device |
US6365523B1 (en) * | 1998-10-22 | 2002-04-02 | Taiwan Semiconductor Maufacturing Company | Integrated high density plasma chemical vapor deposition (HDP-CVD) method and chemical mechanical polish (CMP) planarizing method for forming patterned planarized aperture fill layers |
US6368912B1 (en) * | 2000-12-08 | 2002-04-09 | Nanya Technology Corporation | Method of fabricating an isolation structure between a vertical transistor and a deep trench capacitor |
-
2001
- 2001-07-13 US US09/904,799 patent/US6531377B2/en not_active Expired - Lifetime
-
2002
- 2002-06-27 DE DE10228691A patent/DE10228691A1/en not_active Ceased
Patent Citations (13)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5930107A (en) * | 1995-10-19 | 1999-07-27 | International Business Machines Corporation | Dual trench capacitor |
US6236079B1 (en) * | 1997-12-02 | 2001-05-22 | Kabushiki Kaisha Toshiba | Dynamic semiconductor memory device having a trench capacitor |
US6365523B1 (en) * | 1998-10-22 | 2002-04-02 | Taiwan Semiconductor Maufacturing Company | Integrated high density plasma chemical vapor deposition (HDP-CVD) method and chemical mechanical polish (CMP) planarizing method for forming patterned planarized aperture fill layers |
US6335239B1 (en) * | 1998-12-04 | 2002-01-01 | International Business Machines Corporation | Manufacturing a DRAM cell having an annular signal transfer region |
US6191004B1 (en) * | 1998-12-11 | 2001-02-20 | United Semiconductor Corp. | Method of fabricating shallow trench isolation using high density plasma CVD |
US6319796B1 (en) * | 1999-08-18 | 2001-11-20 | Vlsi Technology, Inc. | Manufacture of an integrated circuit isolation structure |
US6300219B1 (en) * | 1999-08-30 | 2001-10-09 | Micron Technology, Inc. | Method of forming trench isolation regions |
US6346457B1 (en) * | 1999-09-28 | 2002-02-12 | Sharp Kabushiki Kaisha | Process for manufacturing semiconductor device |
US6335261B1 (en) * | 2000-05-31 | 2002-01-01 | International Business Machines Corporation | Directional CVD process with optimized etchback |
US6339241B1 (en) * | 2000-06-23 | 2002-01-15 | International Business Machines Corporation | Structure and process for 6F2 trench capacitor DRAM cell with vertical MOSFET and 3F bitline pitch |
US6335288B1 (en) * | 2000-08-24 | 2002-01-01 | Applied Materials, Inc. | Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD |
US6294423B1 (en) * | 2000-11-21 | 2001-09-25 | Infineon Technologies North America Corp. | Method for forming and filling isolation trenches |
US6368912B1 (en) * | 2000-12-08 | 2002-04-09 | Nanya Technology Corporation | Method of fabricating an isolation structure between a vertical transistor and a deep trench capacitor |
Cited By (209)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20070014801A1 (en) * | 2001-01-24 | 2007-01-18 | Gish Kurt C | Methods of diagnosis of prostate cancer, compositions and methods of screening for modulators of prostate cancer |
US7067440B1 (en) | 2001-08-24 | 2006-06-27 | Novellus Systems, Inc. | Gap fill for high aspect ratio structures |
US6798038B2 (en) * | 2001-09-20 | 2004-09-28 | Kabushiki Kaisha Toshiba | Manufacturing method of semiconductor device with filling insulating film into trench |
US7371654B2 (en) | 2001-09-20 | 2008-05-13 | Kabushiki Kaisha Toshiba | Manufacturing method of semiconductor device with filling insulating film into trench |
US20060189092A1 (en) * | 2001-09-20 | 2006-08-24 | Kabushiki Kaisha Toshiba | Manufacturing method of semiconductor device with filling insulating film into trench |
US20030052384A1 (en) * | 2001-09-20 | 2003-03-20 | Kabushiki Kaisha Toshiba | Manufacturing method of semiconductor device with filling insulating film into trench |
US20040173870A1 (en) * | 2001-09-20 | 2004-09-09 | Kabushiki Kaisha Toshiba | Manufacturing method of semiconductor device with filling insulating film into trench |
US20030199151A1 (en) * | 2002-04-18 | 2003-10-23 | Nanya Technology Corporation | Method of fabricating a shallow trench isolation structure |
US6737334B2 (en) * | 2002-04-18 | 2004-05-18 | Nanya Technology Corporation | Method of fabricating a shallow trench isolation structure |
US6872633B2 (en) * | 2002-05-31 | 2005-03-29 | Chartered Semiconductor Manufacturing Ltd. | Deposition and sputter etch approach to extend the gap fill capability of HDP CVD process to ≦0.10 microns |
US6743728B2 (en) * | 2002-09-23 | 2004-06-01 | Nanya Technology Corporation | Method for forming shallow trench isolation |
US20040058549A1 (en) * | 2002-09-23 | 2004-03-25 | Nanya Technology Corporation | Method for forming shallow trench isolation |
US6833311B2 (en) * | 2002-09-25 | 2004-12-21 | Nanya Technology Corporation | Manufacturing method for a shallow trench isolation region with high aspect ratio |
US20040058507A1 (en) * | 2002-09-25 | 2004-03-25 | Nanya Technology Corporation | Manufacturing method for a shallow trench isolation region with high aspect ratio |
US7518210B2 (en) * | 2002-10-10 | 2009-04-14 | Samsung Electronics Co., Ltd. | Trench isolated integrated circuit devices including grooves |
US20050127472A1 (en) * | 2002-10-10 | 2005-06-16 | Yun Jae-Sun | Trench isolated integrated circuit devices including grooves |
US20040072408A1 (en) * | 2002-10-10 | 2004-04-15 | Yun Jae-Sun | Methods of forming trench isolated integrated circuit devices including grooves, and trench isolated integrated circuit devices so formed |
US6939780B2 (en) * | 2002-10-10 | 2005-09-06 | Samsung Electronics Co., Ltd. | Methods of forming trench isolated integrated circuit devices including grooves |
US7122485B1 (en) | 2002-12-09 | 2006-10-17 | Novellus Systems, Inc. | Deposition profile modification through process chemistry |
US7358190B2 (en) * | 2002-12-11 | 2008-04-15 | Samsung Electronics Co., Ltd. | Methods of filling gaps by deposition on materials having different deposition rates |
US20040144749A1 (en) * | 2002-12-11 | 2004-07-29 | Hong-Gun Kim | Methods of filling gaps by deposition on materials having different deposition rates |
US6867086B1 (en) | 2003-03-13 | 2005-03-15 | Novellus Systems, Inc. | Multi-step deposition and etch back gap fill process |
US7078312B1 (en) * | 2003-09-02 | 2006-07-18 | Novellus Systems, Inc. | Method for controlling etch process repeatability |
US20050095872A1 (en) * | 2003-10-31 | 2005-05-05 | International Business Machines Corporation | Hdp process for high aspect ratio gap filling |
US6914015B2 (en) | 2003-10-31 | 2005-07-05 | International Business Machines Corporation | HDP process for high aspect ratio gap filling |
US7163896B1 (en) | 2003-12-10 | 2007-01-16 | Novellus Systems, Inc. | Biased H2 etch process in deposition-etch-deposition gap fill |
US7476621B1 (en) | 2003-12-10 | 2009-01-13 | Novellus Systems, Inc. | Halogen-free noble gas assisted H2 plasma etch process in deposition-etch-deposition gap fill |
US20080315346A1 (en) * | 2004-01-31 | 2008-12-25 | Ralf Lerner | Passivation of Deep Isolating Separating Trenches with Sunk Covering Layers |
US7625805B2 (en) | 2004-01-31 | 2009-12-01 | X-Fab Semiconductor Foundries Ag | Passivation of deep isolating separating trenches with sunk covering layers |
US7626234B2 (en) * | 2004-03-04 | 2009-12-01 | Fujitsu Microelectronics Limited | Semiconductor device with shallow trench isolation and its manufacture method |
US20050194646A1 (en) * | 2004-03-04 | 2005-09-08 | Fujitsu Limited | Semiconductor device with shallow trench isolation and its manufacture method |
US20060255426A1 (en) * | 2004-03-04 | 2006-11-16 | Fujitsu Limited | Semiconductor device with shallow trench isolation and its manufacture method |
US7211480B2 (en) * | 2004-03-04 | 2007-05-01 | Fujitsu Limited | Semiconductor device with shallow trench isolation and its manufacture method |
US20050242403A1 (en) * | 2004-04-30 | 2005-11-03 | Choh-Fei Yeap | Isolation trench |
WO2005112124A2 (en) * | 2004-04-30 | 2005-11-24 | Freescale Semiconductor, Inc. | Isolation trench |
WO2005112124A3 (en) * | 2004-04-30 | 2006-01-12 | Freescale Semiconductor Inc | Isolation trench |
US6979627B2 (en) * | 2004-04-30 | 2005-12-27 | Freescale Semiconductor, Inc. | Isolation trench |
US20050255704A1 (en) * | 2004-05-11 | 2005-11-17 | Chai-Tak Teh | Stacked dielectric layer suppressing electrostatic charge buildup and method of fabricating the same |
US7205209B2 (en) | 2004-05-11 | 2007-04-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fabrication of stacked dielectric layer for suppressing electrostatic charge buildup |
US7071072B2 (en) * | 2004-06-11 | 2006-07-04 | International Business Machines Corporation | Forming shallow trench isolation without the use of CMP |
US20050277263A1 (en) * | 2004-06-11 | 2005-12-15 | International Business Machines Corporation | Forming Shallow Trench Isolation Without the Use of CMP |
US7097878B1 (en) | 2004-06-22 | 2006-08-29 | Novellus Systems, Inc. | Mixed alkoxy precursors and methods of their use for rapid vapor deposition of SiO2 films |
US7202185B1 (en) | 2004-06-22 | 2007-04-10 | Novellus Systems, Inc. | Silica thin films produced by rapid surface catalyzed vapor deposition (RVD) using a nucleation layer |
US7129189B1 (en) | 2004-06-22 | 2006-10-31 | Novellus Systems, Inc. | Aluminum phosphate incorporation in silica thin films produced by rapid surface catalyzed vapor deposition (RVD) |
US7297608B1 (en) | 2004-06-22 | 2007-11-20 | Novellus Systems, Inc. | Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition |
US7217658B1 (en) | 2004-09-07 | 2007-05-15 | Novellus Systems, Inc. | Process modulation to prevent structure erosion during gap fill |
US7176039B1 (en) | 2004-09-21 | 2007-02-13 | Novellus Systems, Inc. | Dynamic modification of gap fill process characteristics |
US7148155B1 (en) | 2004-10-26 | 2006-12-12 | Novellus Systems, Inc. | Sequential deposition/anneal film densification method |
US7790633B1 (en) | 2004-10-26 | 2010-09-07 | Novellus Systems, Inc. | Sequential deposition/anneal film densification method |
US7163899B1 (en) | 2004-10-26 | 2007-01-16 | Novellus Systems, Inc. | Localized energy pulse rapid thermal anneal dielectric film densification method |
US7381451B1 (en) | 2004-11-17 | 2008-06-03 | Novellus Systems, Inc. | Strain engineering—HDP thin film with tensile stress for FEOL and other applications |
US20060151855A1 (en) * | 2004-11-25 | 2006-07-13 | Masahiro Kiyotoshi | Semiconductor device and method of manufacturing the same |
US7294583B1 (en) | 2004-12-23 | 2007-11-13 | Novellus Systems, Inc. | Methods for the use of alkoxysilanol precursors for vapor deposition of SiO2 films |
US7482247B1 (en) | 2004-12-30 | 2009-01-27 | Novellus Systems, Inc. | Conformal nanolaminate dielectric deposition and etch bag gap fill process |
US7271112B1 (en) | 2004-12-30 | 2007-09-18 | Novellus Systems, Inc. | Methods for forming high density, conformal, silica nanolaminate films via pulsed deposition layer in structures of confined geometry |
US7223707B1 (en) | 2004-12-30 | 2007-05-29 | Novellus Systems, Inc. | Dynamic rapid vapor deposition process for conformal silica laminates |
US7135418B1 (en) | 2005-03-09 | 2006-11-14 | Novellus Systems, Inc. | Optimal operation of conformal silica deposition reactors |
US7109129B1 (en) | 2005-03-09 | 2006-09-19 | Novellus Systems, Inc. | Optimal operation of conformal silica deposition reactors |
US7211525B1 (en) | 2005-03-16 | 2007-05-01 | Novellus Systems, Inc. | Hydrogen treatment enhanced gap fill |
US20060278952A1 (en) * | 2005-06-14 | 2006-12-14 | Fujitsu Limited | Semiconductor device and fabrication process thereof |
US7678641B2 (en) | 2005-06-14 | 2010-03-16 | Fujitsu Microelectronics Limited | Semiconductor device and fabrication process thereof |
US7344996B1 (en) | 2005-06-22 | 2008-03-18 | Novellus Systems, Inc. | Helium-based etch process in deposition-etch-deposition gap fill |
US20070059898A1 (en) * | 2005-09-09 | 2007-03-15 | Dong-Suk Shin | Semiconductor devices including trench isolation structures and methods of forming the same |
US7589028B1 (en) | 2005-11-15 | 2009-09-15 | Novellus Systems, Inc. | Hydroxyl bond removal and film densification method for oxide films using microwave post treatment |
US7491653B1 (en) | 2005-12-23 | 2009-02-17 | Novellus Systems, Inc. | Metal-free catalysts for pulsed deposition layer process for conformal silica laminates |
US9653543B2 (en) * | 2006-03-01 | 2017-05-16 | Infineon Technologies Ag | Methods of fabricating isolation regions of semiconductor devices and structures thereof |
US20150137309A1 (en) * | 2006-03-01 | 2015-05-21 | Infineon Technologies Ag | Methods of Fabricating Isolation Regions of Semiconductor Devices and Structures Thereof |
US8952485B2 (en) * | 2006-03-14 | 2015-02-10 | Micron Technology, Inc. | Isolation trench fill using oxide liner and nitride etch back technique with dual trench depth capability |
US9799727B2 (en) | 2006-03-14 | 2017-10-24 | Micron Technology, Inc. | Isolation trench fill using oxide liner and nitride etch back technique with dual trench depth capability |
US9349632B2 (en) | 2006-03-14 | 2016-05-24 | Micron Technology, Inc. | Isolation trench fill using oxide liner and nitride etch back technique with dual trench depth capability |
US20100148300A1 (en) * | 2006-03-14 | 2010-06-17 | Xianfeng Zhou | Isolation trench fill using oxide liner and nitride etch back technique with dual trench depth capability |
US20070249127A1 (en) * | 2006-04-24 | 2007-10-25 | Freescale Semiconductor, Inc. | Electronic device including a semiconductor layer and a sidewall spacer and a process of forming the same |
US7491622B2 (en) | 2006-04-24 | 2009-02-17 | Freescale Semiconductor, Inc. | Process of forming an electronic device including a layer formed using an inductively coupled plasma |
US20070249160A1 (en) * | 2006-04-24 | 2007-10-25 | Freescale Semiconductor, Inc. | Process of forming an electronic device including a layer formed using an inductively coupled plasma |
US7670895B2 (en) | 2006-04-24 | 2010-03-02 | Freescale Semiconductor, Inc | Process of forming an electronic device including a semiconductor layer and another layer adjacent to an opening within the semiconductor layer |
US7288463B1 (en) | 2006-04-28 | 2007-10-30 | Novellus Systems, Inc. | Pulsed deposition layer gap fill with expansion material |
US20100035404A1 (en) * | 2006-05-11 | 2010-02-11 | Micron Technology, Inc. | Methods of Forming Trench Isolation and Methods of Forming Arrays of FLASH Memory Cells |
US7883986B2 (en) * | 2006-05-11 | 2011-02-08 | Micron Technology, Inc. | Methods of forming trench isolation and methods of forming arrays of FLASH memory cells |
US7682977B2 (en) * | 2006-05-11 | 2010-03-23 | Micron Technology, Inc. | Methods of forming trench isolation and methods of forming arrays of FLASH memory cells |
US20070264794A1 (en) * | 2006-05-11 | 2007-11-15 | Micron Technology, Inc. | Methods of forming trench isolation and methods of forming arrays of FLASH memory cells |
US7528078B2 (en) | 2006-05-12 | 2009-05-05 | Freescale Semiconductor, Inc. | Process of forming electronic device including a densified nitride layer adjacent to an opening within a semiconductor layer |
US20070293045A1 (en) * | 2006-06-16 | 2007-12-20 | Samsung Electronics Co., Ltd | Semiconductor device and method for fabricating the same |
US7482245B1 (en) | 2006-06-20 | 2009-01-27 | Novellus Systems, Inc. | Stress profile modulation in STI gap fill |
US7625820B1 (en) | 2006-06-21 | 2009-12-01 | Novellus Systems, Inc. | Method of selective coverage of high aspect ratio structures with a conformal film |
US7863190B1 (en) | 2006-06-21 | 2011-01-04 | Novellus Systems, Inc. | Method of selective coverage of high aspect ratio structures with a conformal film |
US7364975B2 (en) * | 2006-07-20 | 2008-04-29 | Infineon Technologies Ag | Semiconductor device fabrication methods |
US20080020534A1 (en) * | 2006-07-20 | 2008-01-24 | Marcus Culmsee | Semiconductor device fabrication methods |
US7659159B2 (en) * | 2006-12-04 | 2010-02-09 | Hynix Semiconductor Inc. | Method of manufacturing a flash memory device |
US20080132016A1 (en) * | 2006-12-04 | 2008-06-05 | Hynix Semiconductor Inc. | Method of manufacturing a flash memory device |
US7754561B2 (en) * | 2006-12-29 | 2010-07-13 | Hynix Semiconductor Inc. | Method for fabricating isolation film in semiconductor device |
US20080160721A1 (en) * | 2006-12-29 | 2008-07-03 | Hynix Semiconductor Inc. | Method For Fabricating Isolation Film In Semiconductor Device |
US20080224255A1 (en) * | 2007-01-16 | 2008-09-18 | International Business Machines Corporation | Subground rule sti fill for hot structure |
US20080169528A1 (en) * | 2007-01-16 | 2008-07-17 | International Business Machines Corporation | Subground rule sti fill for hot structure |
US7393738B1 (en) | 2007-01-16 | 2008-07-01 | International Business Machines Corporation | Subground rule STI fill for hot structure |
US20080242045A1 (en) * | 2007-03-27 | 2008-10-02 | Hynix Semiconductor Inc. | Method for fabricating trench dielectric layer in semiconductor device |
US8337950B2 (en) | 2007-06-19 | 2012-12-25 | Applied Materials, Inc. | Method for depositing boron-rich films for lithographic mask applications |
US20100233633A1 (en) * | 2007-06-19 | 2010-09-16 | Applied Materials, Inc. | Engineering boron-rich films for lithographic mask applications |
US8962446B2 (en) * | 2007-07-09 | 2015-02-24 | Micron Technology, Inc. | Methods of forming oxides, methods of forming semiconductor constructions, and methods of forming isolation regions |
US20110183492A1 (en) * | 2007-07-09 | 2011-07-28 | Hanson Robert J | Methods of forming oxides, methods of forming semiconductor constructions, and methods of forming isolation regions |
US20090286381A1 (en) * | 2008-05-16 | 2009-11-19 | Novellus Systems Inc. | Protective Layer To Enable Damage Free Gap Fill |
US8133797B2 (en) | 2008-05-16 | 2012-03-13 | Novellus Systems, Inc. | Protective layer to enable damage free gap fill |
US20100098884A1 (en) * | 2008-10-16 | 2010-04-22 | Applied Materials, Inc. | Boron film interface engineering |
US7910491B2 (en) | 2008-10-16 | 2011-03-22 | Applied Materials, Inc. | Gapfill improvement with low etch rate dielectric liners |
US20100099236A1 (en) * | 2008-10-16 | 2010-04-22 | Applied Materials, Inc. | Gapfill improvement with low etch rate dielectric liners |
US8563090B2 (en) | 2008-10-16 | 2013-10-22 | Applied Materials, Inc. | Boron film interface engineering |
WO2010045021A3 (en) * | 2008-10-16 | 2010-07-01 | Applied Materials, Inc. | Gapfill improvement with low etch rate dielectric liners |
WO2010045021A2 (en) * | 2008-10-16 | 2010-04-22 | Applied Materials, Inc. | Gapfill improvement with low etch rate dielectric liners |
US9153558B2 (en) | 2010-02-09 | 2015-10-06 | International Business Machines Corporation | Electromigration immune through-substrate vias |
US8304863B2 (en) * | 2010-02-09 | 2012-11-06 | International Business Machines Corporation | Electromigration immune through-substrate vias |
US20110193199A1 (en) * | 2010-02-09 | 2011-08-11 | International Business Machines Corporation | Electromigration immune through-substrate vias |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US8741778B2 (en) | 2010-12-14 | 2014-06-03 | Applied Materials, Inc. | Uniform dry etch in two stages |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9236266B2 (en) | 2011-08-01 | 2016-01-12 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US8679982B2 (en) | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
US8679983B2 (en) | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
US9012302B2 (en) | 2011-09-26 | 2015-04-21 | Applied Materials, Inc. | Intrench profile |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
US8975152B2 (en) | 2011-11-08 | 2015-03-10 | Applied Materials, Inc. | Methods of reducing substrate dislocation during gapfill processing |
US9214378B2 (en) | 2012-06-29 | 2015-12-15 | International Business Machines Corporation | Undercut insulating regions for silicon-on-insulator device |
US9472616B2 (en) | 2012-06-29 | 2016-10-18 | International Business Machines Corporation | Undercut insulating regions for silicon-on-insulator device |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US9449845B2 (en) | 2012-12-21 | 2016-09-20 | Applied Materials, Inc. | Selective titanium nitride etching |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US9018108B2 (en) | 2013-01-25 | 2015-04-28 | Applied Materials, Inc. | Low shrinkage dielectric films |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US9093390B2 (en) | 2013-03-07 | 2015-07-28 | Applied Materials, Inc. | Conformal oxide dry etch |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
US9153442B2 (en) | 2013-03-15 | 2015-10-06 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US9023732B2 (en) | 2013-03-15 | 2015-05-05 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US9184055B2 (en) | 2013-03-15 | 2015-11-10 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US9093371B2 (en) | 2013-03-15 | 2015-07-28 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US9991134B2 (en) | 2013-03-15 | 2018-06-05 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US9105691B2 (en) | 2013-04-09 | 2015-08-11 | International Business Machines Corporation | Contact isolation scheme for thin buried oxide substrate devices |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US9209012B2 (en) | 2013-09-16 | 2015-12-08 | Applied Materials, Inc. | Selective etch of silicon nitride |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9299582B2 (en) | 2013-11-12 | 2016-03-29 | Applied Materials, Inc. | Selective etch for metal-containing materials |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US12009228B2 (en) | 2015-02-03 | 2024-06-11 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9735161B2 (en) | 2015-09-09 | 2017-08-15 | Micron Technology, Inc. | Memory device and fabricating method thereof |
US10020310B2 (en) | 2015-09-09 | 2018-07-10 | Micron Technology, Inc. | Memory device and fabricating method thereof |
TWI571967B (en) * | 2015-09-09 | 2017-02-21 | 華亞科技股份有限公司 | Memory element and method of manufacturing same |
US10424583B2 (en) | 2015-09-09 | 2019-09-24 | Micron Technology, Inc. | Methods of operating a memory device |
US10930760B2 (en) | 2016-05-11 | 2021-02-23 | International Business Machines Corporation | Fabrication of vertical field effect transistor structure with strained channels |
US10217843B2 (en) | 2016-05-11 | 2019-02-26 | International Business Machines Corporation | Fabrication of vertical field effect transistor structure with strained channels |
US9755073B1 (en) | 2016-05-11 | 2017-09-05 | International Business Machines Corporation | Fabrication of vertical field effect transistor structure with strained channels |
US10586858B2 (en) | 2016-05-11 | 2020-03-10 | International Business Machines Corporation | Fabrication of vertical field effect transistor structure with strained channels |
US10950711B2 (en) | 2016-05-11 | 2021-03-16 | International Business Machines Corporation | Fabrication of vertical field effect transistor structure with strained channels |
US10930759B2 (en) | 2016-05-11 | 2021-02-23 | International Business Machines Corporation | Fabrication of vertical field effect transistor structure with strained channels |
US11031477B2 (en) | 2017-12-25 | 2021-06-08 | United Microelectronics Corp. | Method for fabricating semiconductor structure |
US10541309B2 (en) | 2017-12-25 | 2020-01-21 | United Microelectronics Corp | Semiconductor structure and method for fabricating the same |
US11705492B2 (en) | 2017-12-25 | 2023-07-18 | United Microelectronics Corp. | Method for fabricating semiconductor structure |
US10811311B2 (en) * | 2018-01-10 | 2020-10-20 | Db Hitek Co., Ltd. | Element isolation layer structure and method of manufacturing the same |
US20190221476A1 (en) * | 2018-01-10 | 2019-07-18 | Db Hitek Co., Ltd. | Element isolation layer structure and method of manufacturing the same |
US10916694B2 (en) | 2018-12-27 | 2021-02-09 | United Microelectronics Corp. | Magnetoresistive random access memory (MRAM) device |
US11706993B2 (en) | 2018-12-27 | 2023-07-18 | United Microelectronics Corp. | Method of manufacturing magnetoresistive random access memory (MRAM) device |
US11737370B2 (en) | 2018-12-27 | 2023-08-22 | United Microelectronics Corp. | Magnetoresistive random access memory (MRAM) device |
US11778922B2 (en) | 2018-12-27 | 2023-10-03 | United Microelectronics Corp. | Semiconductor device and method for fabricating the same |
WO2022062591A1 (en) * | 2020-09-28 | 2022-03-31 | 长鑫存储技术有限公司 | Semiconductor structure preparation process and semiconductor structure |
US11843029B2 (en) | 2020-09-28 | 2023-12-12 | Changxin Memory Technologies, Inc. | Semiconductor structure and manufacturing method thereof |
Also Published As
Publication number | Publication date |
---|---|
DE10228691A1 (en) | 2003-03-13 |
US20030013271A1 (en) | 2003-01-16 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US6531377B2 (en) | 2003-03-11 | Method for high aspect ratio gap fill using sequential HDP-CVD |
US6350653B1 (en) | 2002-02-26 | Embedded DRAM on silicon-on-insulator substrate |
JP4907838B2 (en) | 2012-04-04 | Memory device having a recessed gate structure |
KR100719429B1 (en) | 2007-05-18 | A method of manufacturing a semiconductor integrated circuit device |
US5909044A (en) | 1999-06-01 | Process for forming a high density semiconductor device |
TWI715615B (en) | 2021-01-11 | Semiconductor device and fabrication method thereof |
CN1210765C (en) | 2005-07-13 | Improved dishing resistance |
US6617631B2 (en) | 2003-09-09 | Method for making closely spaced capacitors with reduced parasitic capacitance on a dynamic random access memory (DRAM) device |
US7728376B2 (en) | 2010-06-01 | Semiconductor memory device |
US7541245B2 (en) | 2009-06-02 | Semiconductor device with silicon-film fins and method of manufacturing the same |
US6458692B1 (en) | 2002-10-01 | Method of forming contact plug of semiconductor device |
US6406970B1 (en) | 2002-06-18 | Buried strap formation without TTO deposition |
US6667223B2 (en) | 2003-12-23 | High aspect ratio high density plasma (HDP) oxide gapfill method in a lines and space pattern |
KR101046727B1 (en) | 2011-07-05 | Method of manufacturing buried gate of semiconductor device |
US6333221B1 (en) | 2001-12-25 | Method for improving planarization of an ILD layer |
US6890815B2 (en) | 2005-05-10 | Reduced cap layer erosion for borderless contacts |
US7709318B2 (en) | 2010-05-04 | Method for fabricating a semiconductor device |
US20240120369A1 (en) | 2024-04-11 | High density trench capacitor |
US10304692B1 (en) | 2019-05-28 | Method of forming field effect transistor (FET) circuits, and forming integrated circuit (IC) chips with the FET circuits |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
2001-07-13 | AS | Assignment |
Owner name: INFINEON TECHNOLOGIES NORTH AMERICA CORP., CALIFOR Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:KNORR, ANDREAS (AK);SEITZ, MIHEL (MS);REEL/FRAME:012033/0984;SIGNING DATES FROM 20010702 TO 20010705 |
2003-02-06 | AS | Assignment |
Owner name: INFINEON TECHNOLOGIES AG, GERMANY Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INFINEON TECHNOLOGIES NORTH AMERICA CORP.;REEL/FRAME:013418/0225 Effective date: 20030114 |
2003-02-20 | STCF | Information on status: patent grant |
Free format text: PATENTED CASE |
2003-12-01 | FEPP | Fee payment procedure |
Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY |
2006-09-08 | FPAY | Fee payment |
Year of fee payment: 4 |
2010-01-13 | AS | Assignment |
Owner name: QIMONDA AG, GERMANY Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INFINEON TECHNOLOGIES AG;REEL/FRAME:023773/0457 Effective date: 20060425 |
2010-09-07 | FPAY | Fee payment |
Year of fee payment: 8 |
2014-09-04 | FPAY | Fee payment |
Year of fee payment: 12 |
2015-05-08 | AS | Assignment |
Owner name: INFINEON TECHNOLOGIES AG, GERMANY Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:QIMONDA AG;REEL/FRAME:035623/0001 Effective date: 20141009 |
2015-10-07 | AS | Assignment |
Owner name: POLARIS INNOVATIONS LIMITED, IRELAND Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INFINEON TECHNOLOGIES AG;REEL/FRAME:036808/0284 Effective date: 20150708 |
2020-02-12 | AS | Assignment |
Owner name: CHANGXIN MEMORY TECHNOLOGIES, INC, CHINA Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:POLARIS INNOVATIONS LIMITED;REEL/FRAME:051917/0581 Effective date: 20191130 |